FIFO Generate IP核使用——AXI接口FIFO简介

AXI接口FIFO是从Native接口FIFO派生而来的。AXI内存映射接口提供了三种样式:AXI4、AXI3和AXI4-Lite。除了Native接口FIFO支持的应用外,AXI FIFO还可以用于AXI系统总线和点对点高速应用。

AXI接口FIFO不支持Builtin FIFO和 Shift Register FIFO配置。

当需要连接到其他AXI功能时,可以在Native接口FIFO支持的相同应用中使用AXI FIFO。AXI FIFO可以通过IP集成器集成到系统中。

1 AXI FIFO的功能

AXI FIFO的功能概述主要体现在其对AXI Memory Mapped接口中读写通道的便捷集成能力上。

1.1 读写通道的分离

对于AXI Memory Mapped接口,AXI规范定义了写通道和读通道。
写通道包括写地址通道、写数据通道和写响应通道。
读通道包括读地址通道和读数据通道。

FIFO Generate IP核提供了为AXI Memory Mapped生成单独的写通道或读通道,或者同时生成写通道和读通道的能力。如下图所示。
在这里插入图片描述
对于写通道,集成了三个FIFO:一个用于写地址通道,一个用于写数据通道,以及一个用于写响应通道。
对于读通道,集成了两个FIFO:一个用于读地址通道,一个用于读数据通道。
当同时选择写通道和读通道时,FIFO Generate IP核将集成五个独立的FIFO。

1.2 每个通道的独立配置

对于每个通道,FIFO Generate IP核都可以独立配置以生成基于Block RAM或Distributed RAM FIFO。每个FIFO的深度也可以独立配置,以满足特定应用的数据缓存需求。如下图所示。
在这里插入图片描述
AXI FIFO的使用有助于简化高速数据传输和处理的系统设计,因为它们能够与AXI总线标准无缝集成,提供高吞吐量和低延迟的数据传输能力。这使得AXI FIFO成为高性能计算、图像处理、视频传输等领域的理想选择。

2 AXI FIFO的时序

AXI接口协议使用双向的有效(Valid)和就绪(Ready)握手机制。信息源使用有效信号来表示通道上何时有有效的数据或控制信息可用。信息目标使用就绪信号来表示何时可以接受数据。图1-3展示了AXI4-Stream FIFO的写和读操作的示例时序图,而图1-4则展示了AXI Memory Mapped 接口FIFO的写和读操作的示例时序图。
在这里插入图片描述
在这里插入图片描述
在图1-3和图1-4中,信息源生成有效信号(valid)以指示数据何时可用。目标生成就绪信号(ready)以指示它可以接受数据,并且只有当有效信号和就绪信号都为高时,才会发生数据传输。

对于AXI4-Stream FIFO,写操作和读操作通常涉及连续的数据流传输。在图1-3中,看到写操作和读操作的时序图,显示了有效信号和就绪信号如何协同工作以完成数据传输。

而对于AXI Memory Mapped接口FIFO,写操作和读操作通常与特定的内存地址相关联。在图1-4中,看到写地址通道、写数据通道、写响应通道以及读地址通道和读数据通道的时序图。这些通道协同工作,通过有效和就绪信号的握手机制,确保数据在源和目标之间的正确传输。

由于AXI FIFO是从Native接口FIFO派生而来的,因此它们之间的很多行为是相似的。就绪信号是基于FIFO中可用空间来生成的,当FIFO中有空间时,就绪信号保持高电平以允许写入FIFO。只有当FIFO中没有剩余空间进行额外的写入操作时,就绪信号才会被拉低。有效信号则是基于FIFO中数据的可用性来生成的,当FIFO中有数据可供读取时,有效信号保持高电平以允许从FIFO中读取数据。只有当FIFO中没有可供读取的数据时,有效信号才会被拉低。这些信息信号被映射到Native 接口FIFO的din和dout总线上。AXI FIFO的宽度是通过连接AXI接口的所有信息信号来确定的。这些信息信号包括除有效和就绪握手信号之外的所有AXI信号。

在AXI协议中,这种握手机制确保了数据在源和目标之间的可靠传输。当源设备准备好发送数据时,它会将有效信号置高,表示数据已经准备好。然后,目标设备会检查就绪信号。只有当就绪信号也为高时,目标设备才会从源设备接收数据。这种机制确保了数据在传输过程中不会被丢失或发生错误。

AXI FIFO仅在First Word Fall Through模式下运行。该功能提供了在不执行读取操作的情况下查看FIFO中下一个可用字的能力。当FIFO中有数据时,第一个字会自动通过FIFO并出现在输出数据总线上。

注意:对于AXI接口,由于复位输入总是异步的,因此在内核内部会自动启用安全电路。

3 AXI FIFO的直通线(Pass Through Wire)

AXI Memory Mapped接口的IP核提供了直通线选项,使得所有输入信号能够直接传递到输出,而无需经过任何处理或缓存。这在某些情况下可能很有用,比如当您希望将信号直接转发到另一个接口或组件时。

3.1 数据包FIFO(Packet FIFO)

数据包FIFO配置确保了在接收到数据包(突发传输)的末尾(LAST节拍)之前,不会开始数据包的传输。这样做可以确保一旦主设备侧开始传输,数据就能不间断地可用,从而避免了AXI数据通道的源端停滞。这对于数据起源于主设备的应用场景特别有价值。
例如,当实时信号通道以低于下游AXI交换机和/或从设备目的地的数据速率运行时,如高带宽内存,这种配置就非常有用。

数据包FIFO原理既适用于AXI4/AXI3 Memory Mapped突发事务(写入和读取),也适用于AXI4-Stream数据包传输。这个特性有时被称为“store-and-forward”,它描述了内存映射写入和流传输的行为。对于内存映射读取,事务会延迟到FIFO中有足够的空位来确保整个读取数据包能够不间断地缓冲,这是根据AR(地址读取)通道事务的预测进行的。读取事务实际上并不依赖RLAST信号。

数据包FIFO特性支持公共时钟AXI4/AXI3和公共/独立时钟AXI4-Stream配置。它不支持AXI4-Lite配置。

3.2 AXI4-Stream Packet FIFO

AXI4-Stream Packet FIFO和AXI4/AXI3 Packet FIFO是两种不同类型的FIFO,它们分别使用AXI4-Stream接口和AXI Memory Mapped接口来实现数据包FIFO的功能。

AXI4-Stream Packet FIFO使用AXI4-Stream接口。当在AXI4-Stream Slave侧接收到一个完整的数据包(由tlast标记)或AXI4-Stream FIFO已满时,FIFO Generate IP核会在AXI4-Stream Master侧指示tvalid。由于FIFO变满而在Master侧指示tvalid是一个异常情况,在这种情况下,Packet FIFO会作为一个正常的FWFT(First Word Fall Through)FIFO工作,将Slave侧接收到的数据转发到Master侧,直到在Slave侧接收到tlast。

3.3 AXI4/AXI3 Packet FIFO

AXI4/AXI3 Packet FIFO 使用AXI Memory Mapped接口,它支持AXI协议中的写(W)和读(R)通道以及相关的地址(AW/AR)通道。Packet FIFO允许在数据传输过程中存储完整的数据包,直到数据包被完全接收或发送。

写通道上的Packet FIFO
当在AXI W通道Slave侧接收到一个由wlast标记的完整数据包时,FIFO Generate IP核会在AXI AW通道Master侧指示awvalid。这意味着写地址(AW)的传输只有在W通道Slave侧接收到请求传输所需的所有数据后才会被发送到AXI Write Address Channel。
写通道Packet FIFO与写地址通道(AW通道)耦合,这确保了在发送写请求之前,有足够的空间来存储与该请求相关的所有数据。
W通道的最小深度被设置为512,这允许写通道Packet FIFO存储两个最大长度的数据包。

读通道上的Packet FIFO
当在AXI R通道Master侧接收到一个由rlast标记的完整数据包时,FIFO Generate IP核会在AXI R通道Slave侧指示rvalid。这意味着读数据的传输(通过R通道)已经完成,并且整个数据包已经被成功接收。
读通道Packet FIFO与读地址通道(AR通道)耦合,这确保了在发送读请求之前,Packet FIFO中有足够的空间来存储与该请求相关的数据。如果Packet FIFO中没有足够的空间,则不会向AXI Read Address Channel发送AR传输。
R通道的最小深度也被设置为512,允许读通道Packet FIFO存储两个最大长度的数据包。

这种机制允许数据包在传输过程中被缓存,确保数据传输的连续性和完整性,同时避免由于数据不匹配或丢失而导致的错误。这对于需要处理大量数据或需要确保数据完整性的应用场景(如高速数据传输或实时信号处理)非常有用。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mfbz.cn/a/591371.html

如若内容造成侵权/违法违规/事实不符,请联系我们进行投诉反馈qq邮箱809451989@qq.com,一经查实,立即删除!

相关文章

UG NX二次开发(C#)-获取Part中对象创建时的序号(*)

文章目录 1、前言2、UG NX的对象序号讲解3、采用UG NX二次开发或者建模序号4、注意事项1、前言 在UG NX中,我们创建任意一个对象,都会在模型历史中添加一个创建对象的编号,即是对象序号,这个是递增的,当删除中间产生的对象时,其序号会重新按照建模顺序重新排布。今天一个…

34.基础乐理-简谱需要移调吗?

首先需要具备 首调 与 固定调的知识,才能理解,以两只老虎为例子,如下图: 首调:可以看到C大调、D大调、E大调三种方式的乐谱,记录的数字,记录的唱名,都是1231,唯一不同的…

Zookeeper服务

一、什么是Zookeeper Zookeeper 是一个分布式应用程序的协调服务,它提供了一个高性能的分布式配置管理、分布式锁服务和分布式协调服务。它是 Apache 软件基金会的一个项目,被设计用来处理大规模的分布式系统中的一些关键问题。 Zookeeper的组成员关系&…

论文辅助笔记:Tempo 之 model.py

0 导入库 import math from dataclasses import dataclass, asdictimport torch import torch.nn as nnfrom src.modules.transformer import Block from src.modules.prompt import Prompt from src.modules.utils import (FlattenHead,PoolingHead,RevIN, )1TEMPOConfig 1.…

在编程的世界里,我相信每一行代码都是一次对未来的投资

😀前言 突然有感而发也是激励自己互勉 🏠个人主页:尘觉主页 文章目录 在编程的世界里,我相信每一行代码都是一次对未来的投资类似句子编程的本质代码的价值构建可持续的未来结语 在编程的世界里,我相信每一行代码都是一…

【JVM】GC调优(优化JVM参数)、性能调优

GC调优 GC调优的主要目标是避免由垃圾回收引起程序性能下降。 GC调优的核心指标 垃圾回收吞吐量:执行用户代码时间/(执行用户代码时间 GC时间)延迟:GC延迟 业务执行时间内存使用量 GC调优步骤 发现问题:通过监控…

Servlet详解(从xml到注解)

文章目录 概述介绍作用 快速入门Servelt的执行原理执行流程:执行原理 生命周期概述API 服务器启动,立刻加载Servlet对象(理解)实现Servlet方式(三种)实现Servlet接口实现GenericServlet抽象类,只重写service方法实现HttpServlet实现类实现Htt…

python:用 mido 生成 midi文件,用 pygame 播放 mid文件

pip install mido Downloading mido-1.3.2-py3-none-any.whl (54 kB) Downloading packaging-23.2-py3-none-any.whl (53 kB) Installing collected packages: packaging, mido Successfully installed mido-1.3.2 packaging-23.2 mido 官网文档 pip intall pygame pygame…

【AI】ONNX

长期更新,建议收藏关注! 友情链接 Netron 开放神经网络交换(Open Neural Network Exchange)简称ONNX,是微软和Facebook提出用来表示深度学习模型的开放格式。所谓开放就是ONNX定义了一组和环境,平台均无关的标准格式…

内网安全-代理Socks协议路由不出网后渗透通讯CS-MSF控制上线简单总结

我这里只记录原理,具体操作看文章后半段或者这篇文章内网渗透—代理Socks协议、路由不出网、后渗透通讯、CS-MSF控制上线_内网渗透 代理-CSDN博客 注意这里是解决后渗透通讯问题,之后怎么提权,控制后面再说 背景 只有win7有网,其…

分层图像金字塔变压器

文章来源:hierarchical-image-pyramid-transformers 2024 年 2 月 5 日 本文介绍了分层图像金字塔变换器 (HIPT),这是一种新颖的视觉变换器 (ViT) 架构,设计用于分析计算病理学中的十亿像素全幻灯片图像 (WSI)。 HIPT 利用 WSI 固有的层次结…

Git系列:如何为不同的Git仓库设置不同的配置项?

💝💝💝欢迎莅临我的博客,很高兴能够在这里和您见面!希望您在这里可以感受到一份轻松愉快的氛围,不仅可以获得有趣的内容和知识,也可以畅所欲言、分享您的想法和见解。 推荐:「stormsha的主页」…

毫米波雷达原理(含代码)(含ARS548 4D毫米波雷达数据demo和可视化视频)

毫米波雷达原理 1. 传统毫米波雷达1.1 雷达工作原理1.2 单目标距离估计1.3 单目标速度估计1.4 单目标角度估计1.5 多目标距离估计1.6 多目标速度估计1.7多目标角度估计1.7 总结 3. FMCW雷达数据处理算法4. 毫米波雷达的目标解析(含python代码)5. ARS548 4D毫米波雷达数据demo(含…

企业定制AI智能名片商城小程序:重塑营销场景,引领数字化营销新纪元

在数字化时代的浪潮中,多企业AI智能名片商城小程序以其独特的魅力和创新的功能,为消费者带来了前所未有的购物体验。它不仅是一个汇聚各类商品的购物平台,更是一个充满活力和创造力的社群生态。通过强化社群互动、鼓励用户生成内容以及引入积…

【RAG 博客】Haystack 中的 DiversityRanker 与 LostInMiddleRanker 用来增强 RAG pipelines

Blog:Enhancing RAG Pipelines in Haystack: Introducing DiversityRanker and LostInTheMiddleRanker ⭐⭐⭐⭐ 文章目录 Haystack 是什么1. DiversityRanker2. LostInTheMiddleRanker使用示例 这篇 blog 介绍了什么是 Haystack,以及如何在 Haystack 框…

加州大学欧文分校英语中级语法专项课程03:Tricky English Grammar 学习笔记

Tricky English Grammar Course Certificate Course Intro 本文是学习 https://www.coursera.org/learn/tricky-english-grammar?specializationintermediate-grammar 这门课的学习笔记 文章目录 Tricky English GrammarWeek 01: Nouns, Articles, and QuantifiersLearning …

WAAP动态安全解决方案

随着企业数字化进程不断加速,应用安全面临多重威胁,新型攻击方式层出不穷,常见的攻击形式包括Web应用攻击、DDoS攻击、API攻击、恶意爬虫攻击等。企业正面临严峻的安全防护挑战,需寻找一个可靠、全面的安全解决方案。在此情况下&a…

基于双层优化的电动汽车优化调度研究(附matlab程序)

基于双层优化的电动汽车优化调度研究 0.代码链接 基于双层优化的电动汽车优化调度研究(matlab程序)资源-CSDN文库 1.简述 关键词:双层优化 选址定容 输配协同 时空优化 参考文档:《考虑大规模电动汽车接入电网的双层优化调度策略_胡文平》…

【 书生·浦语大模型实战营】学习笔记(六):Lagent AgentLego 智能体应用搭建

🎉AI学习星球推荐: GoAI的学习社区 知识星球是一个致力于提供《机器学习 | 深度学习 | CV | NLP | 大模型 | 多模态 | AIGC 》各个最新AI方向综述、论文等成体系的学习资料,配有全面而有深度的专栏内容,包括不限于 前沿论文解读、…

Redis 实战之对象

Redis 实战 - 对象 对象的类型与编码类型编码和底层实现 字符串对象编码的转换字符串命令的实现 列表对象编码转换列表命令的实现 哈希对象编码转换哈希命令的实现 集合对象集合命令的实现 有序集合对象编码的转换有序集合命令的实现 类型检查与命令多态类型检查的实现多态命令…
最新文章